LAUSR.org creates dashboard-style pages of related content for over 1.5 million academic articles. Sign Up to like articles & get recommendations!

Sneak Path Characterization in Memristor Crossbar Circuits

Photo from wikipedia

ABSTRACT Memristor technology has become an attractive option for use in-memory architectures, in-memory computing, and logic applications. Memristor crossbar array performance is dependent upon sneak paths. Our research characterises the… Click to show full abstract

ABSTRACT Memristor technology has become an attractive option for use in-memory architectures, in-memory computing, and logic applications. Memristor crossbar array performance is dependent upon sneak paths. Our research characterises the sneak paths in crossbar arrays where the current can sneak through non-selected cells. We present equations for characterising sneak paths as a function of the size of the array, resistance values, input voltage, and I/O switch-vector. We also derive formulas to calculate the number of sneak paths in various array sizes and describe conditions that determine the length of the sneak paths. The resulting equations enable us to predict the sneak paths and sneak path currents for various array sizes to determine the constraints to the resistive memristor circuit. Our work characterising sneak paths provides boundary conditions for applications that use memristor crossbar arrays and provides insight to memristor crossbar testing.

Keywords: array; sneak path; sneak paths; memristor crossbar; memristor

Journal Title: International Journal of Electronics
Year Published: 2020

Link to full text (if available)


Share on Social Media:                               Sign Up to like & get
recommendations!

Related content

More Information              News              Social Media              Video              Recommended



                Click one of the above tabs to view related content.