LAUSR.org creates dashboard-style pages of related content for over 1.5 million academic articles. Sign Up to like articles & get recommendations!

Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma

Photo by calanthe from unsplash

Silicon nitride (SiNx) thin films using 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2) and N2 plasma were investigated. The growth rate of SiNx thin films was saturated in the range of 200–500 °C, yielding approximately… Click to show full abstract

Silicon nitride (SiNx) thin films using 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2) and N2 plasma were investigated. The growth rate of SiNx thin films was saturated in the range of 200–500 °C, yielding approximately 0.38 Å/cycle, and featuring a wide process window. The physical and chemical properties of the SiNx films were investigated as a function of deposition temperature. As temperature was increased, transmission electron microscopy (TEM) analysis confirmed that a conformal thin film was obtained. Also, we developed a three-step process in which the H2 plasma step was introduced before the N2 plasma step. In order to investigate the effect of H2 plasma, we evaluated the growth rate, step coverage, and wet etch rate according to H2 plasma exposure time (10–30 s). As a result, the side step coverage increased from 82% to 105% and the bottom step coverages increased from 90% to 110% in the narrow pattern. By increasing the H2 plasma to 30 s, the wet etch rate was 32 Å/min, which is much lower than the case of only N2 plasma (43 Å/min).

Keywords: plasma; sinx; step; remote plasma; deposition; rate

Journal Title: Applied Sciences
Year Published: 2019

Link to full text (if available)


Share on Social Media:                               Sign Up to like & get
recommendations!

Related content

More Information              News              Social Media              Video              Recommended



                Click one of the above tabs to view related content.