Articles with "area efficient" as a keyword



Photo from wikipedia

Carrier Modulation in 2D Transistors by Inserting Interfacial Dielectric Layer for Area-Efficient Computation.

Sign Up to like & get
recommendations!
Published in 2023 at "Small"

DOI: 10.1002/smll.202206791

Abstract: 2D materials with atomic thickness display strong gate controllability and emerge as promising materials to build area-efficient electronic circuits. However, achieving the effective and nondestructive modulation of carrier density/type in 2D materials is still challenging… read more here.

Keywords: carrier; modulation; area efficient; area ... See more keywords
Photo by sickhews from unsplash

FPGA based area efficient RS(23, 17) codec

Sign Up to like & get
recommendations!
Published in 2017 at "Microsystem Technologies"

DOI: 10.1007/s00542-016-3058-1

Abstract: Generally in digital communication systems and storage mediums, Reed–Solomon (RS) codes are employed to detect and correct errors. RS code is a promising code for Ultra Wide Band (UWB) which is ideally suitable for wireless… read more here.

Keywords: based area; codec; efficient codec; area efficient ... See more keywords
Photo by sickhews from unsplash

Area-efficient and highly accurate antilogarithmic converters with multiple regions of constant compensation schemes

Sign Up to like & get
recommendations!
Published in 2018 at "Microsystem Technologies"

DOI: 10.1007/s00542-016-3238-z

Abstract: In this paper, area-efficient and highly-accurate antilogarithmic converters are proposed. By adopting efficient constant compensation schemes, the proposed antilogarithmic converters could achieve area-efficient with reduced percent errors and reduced delay. Percent errors of the proposed… read more here.

Keywords: antilogarithmic converters; area efficient; efficient highly; constant compensation ... See more keywords
Photo by markusspiske from unsplash

An Area-Efficient Hybrid Polar Decoder With Pipelined Architecture

Sign Up to like & get
recommendations!
Published in 2020 at "IEEE Access"

DOI: 10.1109/access.2020.2985909

Abstract: As the first kind of capacity-achieving forward error correction (FEC) codes, polar codes have attracted much research interest recently. Compared with traditional FEC codes, polar codes show better error correction performance when successive cancellation list… read more here.

Keywords: area; scl decoding; error correction; area efficient ... See more keywords

A Self-Powered and Area Efficient SSHI Rectifier for Piezoelectric Harvesters

Sign Up to like & get
recommendations!
Published in 2021 at "IEEE Access"

DOI: 10.1109/access.2021.3107365

Abstract: This article presents an area efficient fully autonomous piezoelectric energy harvesting system to scavenge energy from periodic vibrations. Extraction rectifier utilized in the system is based on synchronized switch harvesting on inductor (SSHI) technique which… read more here.

Keywords: sshi; system; energy; rectifier piezoelectric ... See more keywords
Photo by acfb5071 from unsplash

Area-Efficient, 600V 4H-SiC JBS Diode-Integrated MOSFETs (JBSFETs) for Power Converter Applications

Sign Up to like & get
recommendations!
Published in 2020 at "IEEE Journal of Emerging and Selected Topics in Power Electronics"

DOI: 10.1109/jestpe.2019.2947284

Abstract: This article reports the demonstration of a low-voltage ( read more here.

Keywords: power; area efficient; jbs diode; jbs ... See more keywords
Photo by libraryofcongress from unsplash

An Integrated Multi-Channel Biopotential Recording Analog Front-End IC With Area-Efficient Driven-Right-Leg Circuit

Sign Up to like & get
recommendations!
Published in 2020 at "IEEE Transactions on Biomedical Circuits and Systems"

DOI: 10.1109/tbcas.2019.2959412

Abstract: A multi-channel biopotential recording analog front-end (AFE) with a fully integrated area-efficient driven-right-leg (DRL) circuit is presented in this paper. The proposed AFE includes 10 channels of low-noise capacitive coupled instrumentation amplifier (CCIA), one shared… read more here.

Keywords: drl; area; channel biopotential; multi channel ... See more keywords
Photo by kellysikkema from unsplash

An Area-Efficient Message Passing Detector for Massive MIMO Systems

Sign Up to like & get
recommendations!
Published in 2022 at "IEEE Transactions on Circuits and Systems I: Regular Papers"

DOI: 10.1109/tcsi.2021.3134659

Abstract: Recently, massive multiple-input multiple-output (MIMO) detection schemes based on message passing detection (MPD) have attracted extensive attention due to their good performance-complexity tradeoff. In this paper, to facilitate a high-throughput detector design, we introduce a… read more here.

Keywords: message passing; area efficient; area; mimo ... See more keywords
Photo from wikipedia

AC-PM: An Area-Efficient and Configurable Polynomial Multiplier for Lattice Based Cryptography

Sign Up to like & get
recommendations!
Published in 2023 at "IEEE Transactions on Circuits and Systems I: Regular Papers"

DOI: 10.1109/tcsi.2022.3218192

Abstract: As the computation bottleneck in lattice-based cryptography (LBC), the polynomial multiplication based on number theoretic transform (NTT) has been continuously studied for flexible hardware implementations with high area-efficiency. This paper presents an area-efficient and configurable… read more here.

Keywords: area efficient; area; based cryptography; efficient configurable ... See more keywords
Photo by kellysikkema from unsplash

Area-Efficient Number Theoretic Transform Architecture for Homomorphic Encryption

Sign Up to like & get
recommendations!
Published in 2023 at "IEEE Transactions on Circuits and Systems I: Regular Papers"

DOI: 10.1109/tcsi.2022.3225208

Abstract: Homomorphic encryption (HE) has emerged as an ideal cryptographic technology for meaningful computations on encrypted data. Not only does HE secure private information even if the ciphertext is leaked, but it also maintains data integrity… read more here.

Keywords: homomorphic encryption; area efficient; number; theoretic transform ... See more keywords
Photo from wikipedia

A Power and Area Efficient Architecture of a PRBS Generator With Multiple Outputs

Sign Up to like & get
recommendations!
Published in 2017 at "IEEE Transactions on Circuits and Systems II: Express Briefs"

DOI: 10.1109/tcsii.2016.2641582

Abstract: This brief presents a technique for achieving a power and area efficient high-speed pseudo-random binary sequence generator (PRBSG) with multiple decorrelated outputs. This architecture uses modules consisting of a novel half-rate PRBSG and a speed… read more here.

Keywords: power area; area efficient; power; proposed architecture ... See more keywords