Articles with "m3d integration" as a keyword



Photo from wikipedia

Network-on-Chip Design Guidelines for Monolithic 3-D Integration

Sign Up to like & get
recommendations!
Published in 2019 at "IEEE Micro"

DOI: 10.1109/mm.2019.2937726

Abstract: Monolithic three-dimensional (M3D) integration is viewed as a promising improvement over through-silicon-via-based 3-D integration due to its greater inter-tier connectivity, higher circuit density, and lower parasitic capacitance. With M3D integration, network-on-chip (NoC) communication fabric can… read more here.

Keywords: m3d integration; integration; m3d; network chip ... See more keywords
Photo by libraryofcongress from unsplash

Quantifying the Impact of Monolithic 3D (M3D) Integration on L1 Caches

Sign Up to like & get
recommendations!
Published in 2021 at "IEEE Transactions on Emerging Topics in Computing"

DOI: 10.1109/tetc.2019.2894982

Abstract: Monolithic 3D (M3D) integration has been recently introduced as a viable solution for fine-grained 3D integration. Since the conventional 3D integration uses relatively large micro-scale through-silicon-vias (TSVs), which causes large TSV area overhead, it is… read more here.

Keywords: monolithic m3d; integration; integration caches; m3d integration ... See more keywords