Articles with "mask optimization" as a keyword



Photo from wikipedia

DAMO: Deep Agile Mask Optimization for Full-Chip Scale

Sign Up to like & get
recommendations!
Published in 2022 at "IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems"

DOI: 10.1109/tcad.2021.3116511

Abstract: Continuous scaling of the very-large-scale integration system leaves a significant challenge on manufacturing; thus optical proximity correction (OPC) is widely applied in conventional design flow for manufacturability optimization. Traditional techniques conduct OPC by leveraging a… read more here.

Keywords: full chip; chip scale; mask optimization; chip ... See more keywords
Photo from wikipedia

Initiative global NILS control in source and mask optimization for process window enhancement.

Sign Up to like & get
recommendations!
Published in 2023 at "Applied optics"

DOI: 10.1364/ao.482501

Abstract: Semiconductor processing is becoming more challenging as integrated circuit dimensions shrink. An increasing number of technologies are being developed for the purpose of ensuring pattern fidelity, and source and mask optimization (SMO) method has outstanding… read more here.

Keywords: mask optimization; source mask; mask; process window ... See more keywords