Articles with "system chip" as a keyword



Photo from wikipedia

A Novel Design of Software System on Chip for Embedded System

Sign Up to like & get
recommendations!
Published in 2017 at "Journal of Signal Processing Systems"

DOI: 10.1007/s11265-015-1099-9

Abstract: More and more transistors are integrated onto a single chip. Embedded systems have powerful cores compared with traditional ones. On-chip SRAM is also integrated to provide faster memory access, which is controlled by software and… read more here.

Keywords: chip embedded; system; software system; system chip ... See more keywords
Photo by charlesdeluvio from unsplash

An intelligent partitioning approach of the system-on-chip for flexible and stretchable systems

Sign Up to like & get
recommendations!
Published in 2017 at "Science China Information Sciences"

DOI: 10.1007/s11432-017-9351-4

Abstract: In this paper, we propose an intelligent partitioning approach of the system-on-chip (SoC) to improve the bendability and stretchability of flexible and stretchable systems. The proposed approach partitions the SoC intelligently into clusters of functional… read more here.

Keywords: approach system; partitioning approach; system chip; approach ... See more keywords
Photo from wikipedia

An autonomous, highly portable NMR spectrometer based on a low-cost System-on-Chip (SoC).

Sign Up to like & get
recommendations!
Published in 2019 at "Journal of magnetic resonance"

DOI: 10.1016/j.jmr.2018.12.007

Abstract: This paper describes the development of a portable and self-optimizing NMR spectrometer based on a miniaturized custom analog front-end and a System-on-Chip (SoC)-based digital back-end. The SoC integrates a field-programmable gate array (FPGA) fabric with… read more here.

Keywords: system; nmr spectrometer; spectrometer based; system chip ... See more keywords
Photo from wikipedia

Rapid observation of dispersion curves in system-on-chip devices

Sign Up to like & get
recommendations!
Published in 2023 at "Review of Scientific Instruments"

DOI: 10.1063/5.0142637

Abstract: We have developed a rapid detection instrument to characterize the behavior of light on the surface of devices during light–matter interactions. The equipment enables the non-destructive and real-time observations of the dispersion curves for microstructures,… read more here.

Keywords: system chip; chip devices; chip; dispersion curves ... See more keywords
Photo by evieshaffer from unsplash

Electron inducing soft errors in 28 nm system-on-Chip

Sign Up to like & get
recommendations!
Published in 2020 at "Radiation Effects and Defects in Solids"

DOI: 10.1080/10420150.2020.1759067

Abstract: ABSTRACT The 28 nm system-on-chip (SoC) was irradiated by 12 MeV electron at the China Institute of Atomic Energy (CIAE) for the first time. Soft errors in the on-chip memory (OCM), D-Cache, Register and BRAM blocks were… read more here.

Keywords: inducing soft; electron inducing; soft errors; system chip ... See more keywords
Photo from wikipedia

Practical System-on-Chip Repeater Design With Hybrid Meta-Heuristic Techniques

Sign Up to like & get
recommendations!
Published in 2018 at "IEEE Access"

DOI: 10.1109/access.2018.2866394

Abstract: This paper recommends a practical way to insert buffer and flop repeaters onto global signals of a complex system-on-chip (SoC). With the advent of deep sub-micrometer technology and new business environment, the market prefers a… read more here.

Keywords: repeater design; repeater; hybrid meta; system chip ... See more keywords
Photo by markusspiske from unsplash

Design and Implementation of Secure Cryptographic System on Chip for Internet of Things

Sign Up to like & get
recommendations!
Published in 2022 at "IEEE Access"

DOI: 10.1109/access.2022.3151430

Abstract: Due to the 4th industrial revolution and the strength of the 5th Generation (5G) era, the Internet of Things (IoT) industry is growing significantly. As a result, the number of IoT devices in various industries,… read more here.

Keywords: secure cryptographic; design; cryptographic system; internet things ... See more keywords
Photo from wikipedia

Deep Reinforcement Learning for System-on-Chip: Myths and Realities

Sign Up to like & get
recommendations!
Published in 2022 at "IEEE Access"

DOI: 10.1109/access.2022.3203401

Abstract: Neural schedulers based on deep reinforcement learning (DRL) have shown considerable potential for solving real-world resource allocation problems, as they have demonstrated significant performance gain in the domain of cluster computing. In this paper, we… read more here.

Keywords: reinforcement learning; deep reinforcement; neural schedulers; system chip ... See more keywords
Photo by hudsoncrafted from unsplash

Full Impedance Cardiography Measurement Device Using Raspberry PI3 and System-on-Chip Biomedical Instrumentation Solutions

Sign Up to like & get
recommendations!
Published in 2018 at "IEEE Journal of Biomedical and Health Informatics"

DOI: 10.1109/jbhi.2017.2783949

Abstract: Impedance cardiography (ICG) is a noninvasive method for monitoring cardiac dynamics using electrical bioimpedance (EBI) measurements. Since its appearance more than 40 years ago, ICG has been used for assessing hemodynamic parameters. This paper presents… read more here.

Keywords: impedance cardiography; system; measurement; system chip ... See more keywords
Photo from wikipedia

A Fully Integrated Battery-Powered System-on-Chip in 40-nm CMOS for Closed-Loop Control of Insect-Scale Pico-Aerial Vehicle

Sign Up to like & get
recommendations!
Published in 2017 at "IEEE Journal of Solid-State Circuits"

DOI: 10.1109/jssc.2017.2705170

Abstract: We demonstrate a fully integrated system-on-chip (SoC) optimized for insect-scale flapping-wing pico-aerial vehicles. The SoC is able to meet the stringent weight, power, and real-time performance demands of autonomous flight for a bee-sized robot. The… read more here.

Keywords: fully integrated; system; insect scale; pico aerial ... See more keywords
Photo from wikipedia

SeVNoC: Security Validation of System-on-Chip Designs With NoC Fabrics

Sign Up to like & get
recommendations!
Published in 2023 at "IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems"

DOI: 10.1109/tcad.2022.3179307

Abstract: Modern System-on-Chip (SoC) designs include a variety of Network-on-Chip (NoC) fabrics to implement coordination and communication of integrated hardware intellectual property (IP) blocks. An important class of security vulnerabilities involves a rogue hardware IP interfering… read more here.

Keywords: designs noc; security; noc fabrics; system chip ... See more keywords