Articles with "vlsi" as a keyword



Photo by acfb5071 from unsplash

Adaptive neuro fuzzy inference system-based power estimation method for CMOS VLSI circuits

Sign Up to like & get
recommendations!
Published in 2017 at "International Journal of Electronics"

DOI: 10.1080/00207217.2017.1357763

Abstract: ABSTRACT Recent advancements in very large scale integration (VLSI) technologies have made it feasible to integrate millions of transistors on a single chip. This greatly increases the circuit complexity and hence there is a growing… read more here.

Keywords: power estimation; neuro fuzzy; adaptive neuro; power ... See more keywords
Photo by chesterfordhouse from unsplash

ADMM-Based Infinity-Norm Detection for Massive MIMO: Algorithm and VLSI Architecture

Sign Up to like & get
recommendations!
Published in 2021 at "IEEE Transactions on Very Large Scale Integration (VLSI) Systems"

DOI: 10.1109/tvlsi.2021.3056946

Abstract: In this article, we propose a novel data detection algorithm and a corresponding VLSI design for massive multiuser (MU) multiple-input–multiple-output (MIMO) wireless systems. Our algorithm uses alternating direction method of multipliers (ADMM)-based infinity-norm-constrained equalization and… read more here.

Keywords: based infinity; mimo; admm based; infinity norm ... See more keywords
Photo from wikipedia

Complementary FET (CFET) Standard Cell Design for Low Parasitics and Its Impact on VLSI Prediction at 3-nm Process

Sign Up to like & get
recommendations!
Published in 2023 at "IEEE Transactions on Very Large Scale Integration (VLSI) Systems"

DOI: 10.1109/tvlsi.2022.3220339

Abstract: Complementary field-effect transistor (CFET) is a future transistor type with a high potential to be used beyond 3-nm technology nodes. Despite its high future value, studies related to CFETs mostly focused on the device aspects.… read more here.

Keywords: full chip; complementary fet; vlsi; design ... See more keywords